From face9b819a6d844d9671e977ce074a0923b6f6b0 Mon Sep 17 00:00:00 2001 From: John Crispin Date: Mon, 9 Feb 2015 12:12:09 +0000 Subject: [PATCH] ar7-atm: make it compile under 3.18 Signed-off-by: John Crispin git-svn-id: svn://svn.openwrt.org/openwrt/trunk@44343 3c298f89-4303-0410-b956-a3cf2f4a3e73 --- .../patches-D7.04.03.00/240-3.18_fixes.patch | 38 ++++++++++++++++++++++ 1 file changed, 38 insertions(+) create mode 100644 package/kernel/ar7-atm/patches-D7.04.03.00/240-3.18_fixes.patch diff --git a/package/kernel/ar7-atm/patches-D7.04.03.00/240-3.18_fixes.patch b/package/kernel/ar7-atm/patches-D7.04.03.00/240-3.18_fixes.patch new file mode 100644 index 0000000000..befd11fde8 --- /dev/null +++ b/package/kernel/ar7-atm/patches-D7.04.03.00/240-3.18_fixes.patch @@ -0,0 +1,38 @@ +--- a/tn7dsl.c ++++ b/tn7dsl.c +@@ -346,7 +346,7 @@ + static unsigned int tn7dsl_set_modulation(void* data, int flag); + static void tn7dsl_ctrl_fineGain(int value); + static void tn7dsl_set_fineGainValue(int value); +-static int dslmod_sysctl (ctl_table * ctl, int write, struct file *filp, ++static int dslmod_sysctl (struct ctl_table * ctl, int write, struct file *filp, + void *buffer, size_t * lenp); + static void tn7dsl_register_dslss_led(void); + void tn7dsl_dslmod_sysctl_register(void); +@@ -3325,7 +3325,7 @@ + + + +-static int dslmod_sysctl(ctl_table *ctl, int write, struct file * filp, ++static int dslmod_sysctl(struct ctl_table *ctl, int write, struct file * filp, + void *buffer, size_t *lenp) + { + char *ptr; +@@ -3451,7 +3451,7 @@ + } + + +-ctl_table dslmod_table[] = { ++struct ctl_table dslmod_table[] = { + #if LINUX_VERSION_CODE < KERNEL_VERSION(2,6,33) + {DEV_DSLMOD, "dslmod", info, DSL_MOD_SIZE, 0644, NULL, NULL, &dslmod_sysctl, &sysctl_string} + #else +@@ -3469,7 +3469,7 @@ + }; + + /* Make sure that /proc/sys/dev is there */ +-ctl_table dslmod_root_table[] = { ++struct ctl_table dslmod_root_table[] = { + #ifdef CONFIG_PROC_FS + #if LINUX_VERSION_CODE < KERNEL_VERSION(2,6,33) + {CTL_DEV, "dev", NULL, 0, 0555, dslmod_table} -- 2.11.0