X-Git-Url: https://git.archive.openwrt.org/?p=project%2Fluci.git;a=blobdiff_plain;f=modules%2Fadmin-full%2Fluasrc%2Fmodel%2Fcbi%2Fadmin_network%2Fwifi.lua;h=6d46a541f4aebf0ca6e3e0babf14fda24128f17c;hp=4f2ae17f8cc4de6c468b588b224c8f5cd1077a5b;hb=4f7c88139693a1fc58b936b18f20672ce73c56e8;hpb=741dd0bcd3fbd342c79a689721f793c892dcfc5c diff --git a/modules/admin-full/luasrc/model/cbi/admin_network/wifi.lua b/modules/admin-full/luasrc/model/cbi/admin_network/wifi.lua index 4f2ae17f8..6d46a541f 100644 --- a/modules/admin-full/luasrc/model/cbi/admin_network/wifi.lua +++ b/modules/admin-full/luasrc/model/cbi/admin_network/wifi.lua @@ -17,9 +17,9 @@ arg[1] = arg[1] or "" m = Map("wireless", translate("networks"), translate("a_w_networks1")) s = m:section(NamedSection, arg[1], "wifi-device", translate("device") .. " " .. arg[1]) ---s.addremove = true +s.addremove = false -back = s:option(DummyValue, translate("overview")) +back = s:option(DummyValue, "_overview", translate("overview")) back.value = "" back.titleref = luci.dispatcher.build_url("admin", "network", "wireless") @@ -34,6 +34,8 @@ end s:option(DummyValue, "type", translate("type")) local hwtype = m:get(arg[1], "type") +-- NanoFoo +local nsantenna = m:get(arg[1], "antenna") ch = s:option(Value, "channel", translate("a_w_channel")) for i=1, 14 do @@ -71,8 +73,17 @@ if hwtype == "atheros" then mode:value("fh", translate("wifi_fh")) s:option(Flag, "diversity", translate("wifi_diversity")) - s:option(Value, "txantenna", translate("wifi_txantenna")).optional = true - s:option(Value, "rxantenna", translate("wifi_rxantenna")).optional = true + + if not nsantenna then + s:option(Value, "txantenna", translate("wifi_txantenna")).optional = true + s:option(Value, "rxantenna", translate("wifi_rxantenna")).optional = true + else -- NanoFoo + local ant = s:option(ListValue, "antenna", translate("wifi_txantenna")) + ant:value("auto") + ant:value("vertical") + ant:value("horizontal") + ant:value("external") + end s:option(Value, "distance", translate("wifi_distance"), translate("wifi_distance_desc")).optional = true @@ -87,14 +98,14 @@ if hwtype == "broadcom" then mp = s:option(ListValue, "macfilter", translate("wifi_macpolicy")) mp.optional = true mp:value("") - mp:value("deny", translate("wifi_whitelist")) - mp:value("allow", translate("wifi_blacklist")) + mp:value("allow", translate("wifi_whitelist")) + mp:value("deny", translate("wifi_blacklist")) ml = s:option(DynamicList, "maclist", translate("wifi_maclist")) ml:depends({macfilter="allow"}) ml:depends({macfilter="deny"}) - s:option(Value, "txant", translate("wifi_txantenna")).optional = true - s:option(Value, "rxant", translate("wifi_rxantenna")).optional = true + s:option(Value, "txantenna", translate("wifi_txantenna")).optional = true + s:option(Value, "rxantenna", translate("wifi_rxantenna")).optional = true s:option(Flag, "frameburst", translate("wifi_bursting")).optional = true @@ -150,10 +161,11 @@ bssid = s:option(Value, "bssid", translate("wifi_bssid")) -------------------- MAC80211 Interface ---------------------- if hwtype == "mac80211" then + mode:value("ahdemo", translate("a_w_ahdemo")) mode:value("monitor", translate("a_w_monitor")) bssid:depends({mode="adhoc"}) - s:option(Value, "txpower", translate("a_w_txpwr"), "dbm").rmempty = true + s:option(Value, "txpower", translate("a_w_txpwr"), "dBm").rmempty = true s:option(Value, "frag", translate("wifi_frag")).optional = true s:option(Value, "rts", translate("wifi_rts")).optional = true end @@ -178,7 +190,7 @@ if hwtype == "atheros" then wdssep.optional = true s:option(Flag, "doth", "802.11h").optional = true - s:option(Value, "txpower", translate("a_w_txpwr"), "dbm").rmempty = true + s:option(Value, "txpower", translate("a_w_txpwr"), "dBm").rmempty = true hidden = s:option(Flag, "hidden", translate("wifi_hidden")) hidden:depends({mode="ap"}) hidden:depends({mode="adhoc"}) @@ -214,6 +226,14 @@ if hwtype == "atheros" then s:option(Flag, "wmm", translate("wifi_wmm")).optional = true s:option(Flag, "xr", translate("wifi_xr")).optional = true s:option(Flag, "ar", translate("wifi_ar")).optional = true + + local swm = s:option(Flag, "sw_merge", translate("wifi_nosbeacon")) + swm:depends({mode="adhoc"}) + swm.optional = true + + local nos = s:option(Flag, "nosbeacon", translate("wifi_nosbeacon")) + nos:depends({mode="sta"}) + nos.optional = true end @@ -223,6 +243,8 @@ if hwtype == "broadcom" then mode:value("wds", translate("a_w_wds")) mode:value("monitor", translate("a_w_monitor")) + s:option(Value, "txpower", translate("a_w_txpwr"), "dBm").rmempty = true + hidden = s:option(Flag, "hidden", translate("wifi_hidden")) hidden:depends({mode="ap"}) hidden:depends({mode="adhoc"})